Welcome![Sign In][Sign Up]
Location:
Search - usb 2.0 vhdl verilog code

Search list

[VHDL-FPGA-VerilogFT245_R_W

Description: USB芯片FT245BM读写代码,在Quartus II V7.2上测试成功!---Verilog语言.
Platform: | Size: 1644544 | Author: | Hits:

[Com Portusb_funct

Description: USB 2.0 verilog源代码,内包含详细文档资料。-USB 2.0 verilog source code, which contains detailed documentation.
Platform: | Size: 196608 | Author: 夏玥 | Hits:

[Communicationverilog

Description: source code for USB 2.0 fonction core in verilog
Platform: | Size: 57344 | Author: chaitanya | Hits:

CodeBus www.codebus.net